数字电子技术基础2059163 2024智慧树满分答案

能身失五限稠叉逻骏弗龚肚碳

替商辛疯境贫侧块艾前洽煤拢

(⊙.⊙)


第一章 单元测试

1、单选题:
数 (235)10  的 余三码 为(  )。

A:

001000110101

B:

010101101000

C:

000110011100

D:

100101000110

答案:

010101101000

 

2、单选题:
若在编码器中有500个编码对象,则要求输出二进制代码位数为( )位。

A:

9

B:

10

C:

50

D:

6

答案:

9

 

3、单选题:
十进制数42.5对应的十六进制数为 (  )。

A:

24.5

B:

2A.8

C:

42.8

D:

2A.5

答案:

2A.8

 

4、单选题:
TTL电平的电压范围:发送端“1”的电压范围为2.4-5V, “0”的电压范围为0-0.4V。
接收端“1”的电压范围为(  ),“0”的范围为0-0.8V。

A:

2.4-5V

B:

2-5V

答案:

2-5V

 

5、单选题:
数字电路中1用高电平、0用低电平表示,每一个1、0占用的时间是( )个时钟周期的时间。

A:

1

B:

4

C:

2

D:

3

答案:

1

 

6、判断题:
用布尔代数中的“0”、“1”可以对应于继电器中的两个状态“开”、“关”,这样布尔代数中的各种逻辑运算就都可以通过继电器的开关控制来实现。(  )

A:错
B:对
答案: 对

7、判断题:
数字电路中,逻辑1比逻辑0大( )。

A:对
B:错
答案: 错

8、判断题:
可编程集成电路的发展,使得硬件电路的设计可以象开发软件一样通过编程来实现( )。

A:错
B:对
答案: 对

9、判断题:
格雷码具有任何相邻码只有一位码元不同的特性( ),常用于计数器中。

A:错
B:对
答案: 对

10、判断题:
十六进制数18 和 十进制数18相比要小( )。

A:错
B:对
答案: 错

第二章 单元测试

1、单选题:
利用卡诺图化简逻辑函数时,8个相邻的构成矩形框的最小项可合并为1项,并消去(   )个变量,。

A:1
B:2
C:3
D:4
答案: 3

2、单选题:
某函数的三变量卡诺图中,共有八个方块,全部是1,则该函数的化简结果为(  )。

A:1
B:ABC
C:0
答案: 1

3、单选题:
函数 L(A,B,C,D)=ABC+CD’ 的真值表中,L=1 的状态有 (    )个。

A:5
B:7
C:6
D:8
答案: 5

4、单选题:
利用无关项化简逻辑函数时,无关项应看成( )。

A:

能使圈组大的看成1,其它看成0

B:

无所谓

C:1
D:2
答案:

能使圈组大的看成1,其它看成0

 

5、单选题:
以下几个表达式中,按照逻辑代数运算法则分析,正确的有( )。

A:

A+1=1

B:

1+1=2

C:

1+1=10

D:

0

答案:

A+1=1

 

6、单选题:
逻辑函数  F(A、B、C)= M0M1M2M4的 最小项表示式为( )。

A:

F=∑m(3,5,6,7)

B:

F=∑m(1,2,3,5)

C:

F=∑m(3,4,6,7)

D:

F=∑m(0,1,2,3)

答案:

F=∑m(3,5,6,7)

 

7、单选题:
已知有4个逻辑变量,它们能组成的最大项的个数为( )个,这4个逻辑变量组成的任意2个最小项之积恒为(  )。

A:

8,0

B:

16,1

C:

16,0

D:

8,1

答案:

16,0

 

8、判断题:
逻辑函数两次求反则还原,逻辑函数的对偶式再作对偶变换也还原为它本身。(  )

A:对
B:错
答案: 对

9、单选题:
逻辑函数 Y(A,B,C)= AC + BC’ 的最小项和的形式是(  )。

A:Y=∑m(2 ,5 ,6 ,7)
B:Y=∑m(0 ,1 ,3 ,4)
C:Y=∑m(0 ,1 ,2 ,3)
答案: Y=∑m(2 ,5 ,6 ,7)

10、单选题:
逻辑函数 Y(A,B,C)=AC+B′C 的最大项积的形式是(  )。

A:Y=∏M(0,1,3,5,7)
B:Y=∏M(0,2,3,4,6)
C:Y=∏M(0,1,3,4,6)
答案: Y=∏M(0,2,3,4,6)

第三章 单元测试

1、单选题:
一个译码器若有128个输出端,则其应该有(  )个输入端。

A:

7

B:

5

C:

6

D:

8

答案:

7

 

2、单选题:
当编码器 74LS147 的输入端 I 1 、 I 5 、 I 6 、 I 7 同时为低电平,而其余输入端为高电平时,输出为(  )。

A:

1001

B:

1110

C:

1000

D:

1010

答案:

1000

 

3、单选题:
四选一的数据选择器,其数据输入端有( )个,地址输入端有2个。

A:

2

B:

1

C:

8

D:

4

答案:

4

 

4、单选题:
16选1数据选择器,地址输入端有( )个,输出端有一个。

A:

4

B:

2

C:

1

D:

16

答案:

4

 

5、单选题:
从下列器件中选择,只需一片就可以实现把余三码转为8421码的功能。(  )

A:

比较器

B:

编码器

C:

数据选择器

D:

译码器

答案:

译码器

 

6、单选题:
对两个一位二进制数进行判断, 若A

A:

Y=AB

B:

Y=AB`

C:

Y=A`B

D:

Y=A`B`

答案:

Y=A`B

 

7、判断题:
用有n个地址输入端的数据选择器可实现n变量输入的时序逻辑电路。

A:错
B:对
答案: 错

8、判断题:
共阳极接法发光二极管数码显示器需选用有效输出为低电平的七段显示译码器来驱动。(  )

A:错
B:对
答案: 对

9、判断题:
二进制译码器相当于是一个最小项发生器,所以可以用来实现组合逻辑电路。(  )

A:对
B:错
答案: 对

10、单选题:
已知某4变量输入的函数,输入变量为D3、D2、D1、D0,若把输入看作1位的BCD码,当被4整除时,则输出Y为1,反之为0,则该函数的最小项和的表达式是( )。

A:Y=∑m(0,8,10)+d(4,11,12,13,14,15)
B: Y=∑m(0,4,8)
C: Y=∑m(0,4,8)+d(10,11,12,13,14,15)
答案:  Y=∑m(0,4,8)+d(10,11,12,13,14,15)

第四章 单元测试

1、单选题:
下列标识符中根据verilogHDL语法,合法的标识符是( )。

A:

module

B:

Module

C:

123a

D:

7seg.v

答案:

Module

 

2、单选题:
在verilogHDL中,整常数有三种表示方式,表达成197的方式,则默认这是一个十进制数,默认位宽是(  )位。

A:

8

B:

4

C:

32

答案:

32

 

3、单选题:
下列符号常量的定义, 合法的格式有( )。

A:

parameter and = 8;

B:

parameter addrwidth = datawidth*2;

C:

parameter addrwidth = 8;

答案:

parameter addrwidth = 8;

 

4、单选题:
执行下列语句后,结果是
always @(posedge clk)
begin
b c end

A:

b=c

B:

b≠c

答案:

b≠c

 

5、判断题:
if(表达式)与 if(表达式= = 1),表达含义一样,前者是后者的简写。

A:错
B:对
答案: 对

6、判断题:
若一个源文件中有多个模块,则其中只能有一个顶层模块,且其名与文件同名,其它的为子模块。(  )

A:错
B:对
答案: 对

7、判断题:
verilogHDL程序中,模块的输入/输出信号类型如果缺省,默认为wire型。(   )

A:错
B:对
答案: 对

8、判断题:
verilogHDL中 reg[n-1:0] mema;与 reg mema [n-1:0] ;两个语句是相同的,都是定义了reg型变量mema。

A:错
B:对
答案: 错

9、判断题:
&&进行逻辑与运算,其结果为1位布尔值(逻辑1,逻辑0或不定值);&进行按位与运算,其结果与操作数位数相同。

A:错
B:对
答案: 对

10、多选题:
下面程序中元件例化语句中模块元件名分别是XOR2、and  ,例化元件名分别是(  )、(  ) 。数字电子技术基础2059163   2024智慧树满分答案第1张

A: and
B:  U2
C: U1
D: XOR2
答案:   U2; U1

第五章 单元测试

1、单选题:
随机存储器RAM含义是在工作时具有( )功能。

A:

不能读/可写

B:

可读/可写

C:

不能读/不能写

D:

可读/不能写

答案:

可读/可写

 

2、单选题:
存储容量为8K*8的ROM,有( )位输入地址。

A:

14

B:

11

C:

13

D:

12

答案:

13

 

3、单选题:
两片存储容量为32K*8的EPROM,进行位扩展后其存储容量变为下面哪一个(  )。

A:

128K*8

B:

32K*16

C:

64K*8

D:

64K*16

答案:

32K*16

 

4、单选题:
对于T触发器,若现态Q=1,欲使次态Q*=1,应使输入T=(   )。

A:

Q

B:

0

C:

1

答案:

0

 

5、单选题:
欲使D触发器按Q*=Q´工作,应使输入D=(   )。

A:

1

B:

Q

C:

0

D:

答案:

 

6、单选题:
N个触发器可以构成能寄存( )位二进制数码的寄存器。

A:

N-1

B:

N+1

C:

2N

D:

N

答案:

N

 

7、单选题:
利用MOS管栅极电容对电荷的暂存作用来存储信息的为(  )RAM。

A:

静态

B:

动态

答案:

动态

 

8、多选题:
(  ) 存储器在读/写的同时需要进行数据刷新,(  ) 存储器则不存在刷新问题。

A:

静态

B:

动态

C:

固态

D:

磁芯

答案:

静态

;

动态

 

9、多选题:
半导体存储器按读、写功能分有 (  ) 和 (  ) 2种。

A:

RAM

B:

ROM

C:

E²PROM

D:

EPROM

答案:

RAM

;

ROM

 

10、单选题:
请问下图中的JK触发器,转换成了哪种功能的触发器?(  )数字电子技术基础2059163   2024智慧树满分答案第2张

A:D触发器
B:T触发器
C:T’ 触发器
答案: T’ 触发器


完整版答案需支付5元购买,完整答案是全部章节的课后答案。制作这些答案花费了很多心血,希望大家理解,如需购买请点击下方红字:

点击这里,购买完整版答案

注意:完整答案是全部的课后答案,无期末答案!


萌面人优惠券

一键领取淘宝,天猫,京东,拼多多无门槛优惠券,购物省省省!

如需了解详情请点击下方红字:

点击这里,查看淘宝天猫优惠券


萌面人优惠券APP下载

支持安卓,苹果,PC电脑等设备,可查看各种大学网课答案,可一键领取淘宝,天猫,京东,拼多多无门槛优惠券

详情请点击下方红字:

点击这里,查看app详情


更多信息:如何找到本平台?

方法一:

点击下方红字,访问萌面人资料铺

点击这里,访问萌面人资料铺

方法二:

搜狗搜索:萌面人资料铺 认准域名:www.xuexianswer.com 或者域名:xuexianswer.com 点击进入

数字电子技术基础2059163   2024智慧树满分答案第3张

方法三:

打开手机微信,扫一扫下方二维码,关注微信公众号:萌面人APP

本公众号可查看各种网课答案,还可免费查看大学教材答案

点击这里,可查看公众号功能介绍

数字电子技术基础2059163   2024智慧树满分答案第4张数字电子技术基础2059163   2024智慧树满分答案第5张


为了下次更快打开本网站,建议在浏览器添加书签收藏本网页。

添加书签方法:

1.电脑按键盘的Ctrl键+D键即可收藏本网页

2.手机浏览器可以添加书签收藏本网页


 

妹财露编膳餐默妮坑翱设天谜

亢兼俺粉凛懦签钾椽态先链炽

搜索
登录
订单
帮助